新闻  |   论坛  |   博客  |   在线研讨会
(2021.9.6)半导体一周要闻-莫大康
qiushiyuan | 2021-09-06 14:21:57    阅读:532   发布文章

半导体一周要闻

2021.8.30- 2021.9.3

1. 投资与换帅,中芯国际大事不断

9月3日,国内集成电路晶圆代工领军企业中芯国际发布公告称,其与上海自贸试验区临港新片区管委会签署合作框架协议:双方将投资约88.7亿美元,在上海临港自由贸易试验区(“临港自由贸易区”)共同成立合资公司。新公司将规划建设产能为10万片/月的12英寸晶圆代工生产线项目,聚焦于提供28纳米及以上技术节点的集成电路晶圆代工与技术服务。


事实上,今年以来,中芯国际在北京、深圳、上海均有扩产动作。在宣布上海临港项目之前,中芯京城12英寸集成电路晶圆及集成电路封装项目的一期工程项目于今年1月开始动工,计划于2024年完工,建成后将达成每月约10万片12英寸晶圆产能。3月,中芯国际发布公告称,将依托中芯深圳重点生产28纳米及以上的集成电路并提供技术服务,旨在实现每月约4万片12英寸晶圆的产能,预期于2022年开始生产。加上本次在上海临港的新建生产线,规划新增总产能已达24万片/月。


周子学辞任董事长高永岗代理


9月3日,中芯国际发布公告称,公司董事长兼执行董事周子学博士因个人身体原因,辞任董事长及董事会提名委员会主席的职务,即日生效。周子学辞任上述职位后将继续担任公司执行董事。董事会在此对周子学为公司健康发展所做的贡献表示衷心感谢。


执行董事、首席财务官兼公司秘书高永岗博士,获委任为公司代理董事长,履行董事长职责,并担任董事会提名委员会主席,即日生效。


2. 日经全球十大半导体厂商2021年度投资额将达12万亿日元 

日经中文网9月2日消息,日本经济新闻统计了拥有自主工厂的10家主要半导体厂商的设备投资计划,预计英特尔、台积电等10家主要半导体厂商的2021年度设备投资额将比上一年度增加3成,达到12万亿日元(约合人民币7052亿元)。


3. 3nm量产意外延期的背后,代工双雄在与时间赛跑

为争夺晶圆代工头把交椅的竞赛已趋白热化,台积电和三星都不惜撒下重金来获得工艺上的领先。但是,在双方全力争夺的3nm工艺节点开发上,近期却相继有开发遇阻的消息传来。在先进工艺已逼近物理极限之时,每进一步都要突破层层阻力。晶圆代工之间的争夺,不单是资金投入的比拼,还是与时间的一场赛跑。这一选择不能直接说明N3工艺的进展问题,但结合工艺进展延迟的消息,多少还是让人意外的。毕竟在4月15日的法说会上,台积电还表示N3已经提前至3月开始风险行试生产,并小量交货,进度优与原先预期。


局外人很难知道延迟的真正原因,可以看到的是工艺进展的不易。业界知名专家莫大康就表示:“从N5向N3不是单一的光刻尺寸的缩小,涉及器件架构、互连金属等,出现工艺延迟正常,要摸索工艺,需要通过更多的硅片生产来积累经验。”

1630909089153097.png

 三星方面也面临着类似的问题。早在2019年三星就公布了3nm GAA工艺的PDK物理设计套件标准,预计3nm GAA工艺会在2020年底试产,2021年实现量产。目前看来,这一目标是远不能达成了。按照三星在今年6月完成3nm芯片Tapeout(流片)的进度来看,2022年将是其量产的初步时间。


EUV单次曝光实现的间距极限是32nm到30nm 间,对应着5nm左右的工艺节点。要进展到3nm工艺,芯片制造商就要寻找新的方案。第一个选择就是EUV双曝光,第二选择是开发高数值孔径(NA)EUV 扫描仪,这是一个全新的系统。ASML的高数值孔径EUV系统采用新的0.55数值孔径透镜,分辨率提升了70%,仍在研发阶段。


按照台积电的说法,HPC的潜在客户和智能手机领域对N3的兴趣都很大。此前的规划中,3nm正式量产时的初期月产能为5.5万片,2023年月产能可达10.5万片。如果这些产能都被预定一空,台积电将在3nm代工市场再次拥有领先优势。


不过,3nm工艺进展表面是两强的竞争,实则是整个产业链的跟进。正如莫大康所指出,3nm是一个焦点,不能仅靠台积电、三星的推进,最终还要看制造商和设备商等产业链各个环节的努力。


4. 总投资88.7亿美元中芯国际临港12英寸项目正式签约

集微网消息,9月3日,中芯国际发布公告称,已与上海临港管委会签订合作框架协议,将成立合资公司规划建设产能为10万片/月的12英寸晶圆代工生产线项目。


根据公告内容,该合资公司将聚焦于提供28纳米及以上技术节点的集成电路晶圆代工与技术服务。该项目计划投资约88.7亿美元,该合资公司注册资本金拟为55亿美元,其中中芯国际拟出资比例不低于51%,上海市人民政府制定的投资主体拟出资比不超过25%。中芯国际将与上海自贸试验区临港新片区管委会共同推动第三方投资者完成剩余出资,后续根据第三方投资者出资情况对各自出资额度及股权比例进行调整,中芯国际负责该合资公司的运营及管理。


5. 后摩尔时代先进封装将迎来高光时刻

今天,以TSV互连、RDL、Micro-bump为核心要素的后摩尔时代先进集成封装技术呈现出与Chiplet融合、摩尔定律前沿工艺节点融合的特征与趋势,与已成为支撑高效能计算SoC芯片的最为先进技术平台,是台积电、英特尔、三星电子等头部企业技术发展战略布局的关键点。


当裸芯片之间互连尺寸与芯片内晶体管互连尺寸接近时,后摩尔时代叠床架屋的裸芯片体究竟是一颗芯片还是一个封装体?可以说,封装体既是芯片,更是系统。


未来,TSV互连、RDL、Micro-bump等关键互连要素的特征尺寸将进一步缩小,芯片种类及数目、堆叠层数更多,架构与接口标准化,多信号域多类别器件的渗透应用扩展,将是后摩尔时代的先进集成封装重要发展方向。


尽管后摩尔时代先进集成封装的理念很简单、很容易理解,但是工程实现却非常具有挑战性。从工艺制程层面讲,硅通孔TSV互连工艺引入以及所增加的超薄晶圆片操作等工艺步骤,会严重影响集成电路芯片工艺制程,兼容性、可制造性、工艺制程监测管控等面临一系列的挑战,这需要工艺、材料、装备等产业协同。从设计层面讲,后摩尔时代的先进封装意味着芯片设计由传统二维平面设计进入三维空间设计,必须有设计方法学与EDA工具、三维架构、接口标准化等配套支撑。


6. Q2全球十大晶圆代工厂商营收排名出炉,产值连8季创高

1630909114905281.png

7. 半导体产业成长与展望

3.png

8. 今年全球半导体材料市场将超过570亿美元

1630909170303738.png

9. 紫光破产重整最新进展

近日,紫光国微发布公告,北京一中院裁定对紫光集团及其子公司北京紫光通信、北京紫光资本管理有限公司、西藏紫光大器投资有限公司、西藏紫光卓远、西藏紫光通信投资有限公司、西藏紫光春华投资有限公司(合称 " 紫光集团等七家公司 ")实质合并重整,并指定紫光集团管理人担任紫光集团等七家公司实质合并重整管理人。


“紫光的主要问题在于过度融资带来的债务困境。”业内人士坦言,从2013年至今的8年时间里,紫光集团发起并购近60起,投入资金超过千亿元,通过动用大量资产收购,使得集团累计负债规模过大,融资结构失衡。上述人士也提到,也正是源于之前大规模的并购投资,让紫光集团成为诸多“造芯”企业中,布局最早且涵盖产业链上的设计、生产、测试等环节的企业。


10. 中芯国际一年流失600多名研发人员

报告显示,中芯国际今年上半年实现营业收入160.9亿元,同比增长22.3%;净利润为52.41亿元,同比增长278.1%。基本每股收益0.66元。中芯国际表示,营业收入的增长,主要是由于本期内销售晶圆的数量及平均售价上升所致。销售晶圆的数量由上年同期的2.8百万片,约当8英寸晶圆,增加16.2%至本期内的3.3百万片,约当8英寸晶圆。平均售价由上年同期得4143元增至本期的4390元,即平均提价247元。


不过,就在中芯国际净利大增近3倍的情况下,其研发人员的数量及薪资却双双下降。


21ic家通过梳理中芯国际各季度报和年报发现,2018年至2020年,其研发人员的数量为2096人、2530人与2335人,占员工总人数比例分别为11.86%、16.02%与13.50%,研发人员数量保持稳定。与此同时,2020年中芯国际研发投入为47亿元,居A股上市公司第4位。2020年其新增专利1284项,累计拥有专利12141项。

5.png

11. 思特威成功量产首颗1.0μm像素尺寸CIS

近日,技术先进的CMOS图像传感器供应商思特威科技(SmartSens Technology),正式推出其首颗基于QCell技术的1600万像素消费类系列智能手机应用Cellphone Sensor (CS) Series图像传感器产品—— SC1600CS,该产品作为思特威成功量产的首颗1.0μm像素尺寸CIS,力求为智能手机前置摄像头提供高品质的成像性能。


12. 拜登政府或批准向华为出售汽车芯片,爱国议员跳脚

路透社援引两位知情人士的消息称,美国政府已经批准向被列入实体清单的华为出售价值数亿美元的汽车零部件芯片的许可证申请。拜登总统入主白宫后,仍然坚持对华为的强硬政策,一律拒绝向华为出售可用于5G网络设备或器具的芯片的许可证申请。不过最近一段时间以来。


不过最近一段时间以来,熟悉许可证申请程序的人士告诉路透社,美国政府已经批准一些供应商向华为出售汽车零部件中使用的芯片的许可证申请。这些使用芯片的汽车零部件包括车机显示屏和传感器。美国政府批准向华为出售芯片许可证申请的同时,华为也正在将其业务转型到比较不受美国贸易禁令限制的领域。


13. 科技巨头为何纷纷跨界造芯?

1630909226203855.png

7.png

1630909291695625.png

14. 台积电推出应用于硅光子芯片的先进封装技术

为了应对网络流量的爆炸式增长,数据中心芯片必须发展硅光子(SiPH)技术,以降低功耗并提高传输速度,这也推动了相关封装技术的进步,台积电COUPE技术由此应运而生。COUPE技术是一种光电共封装技术(CPO),将光学引擎和多种计算和控制ASIC集成在同一封装载板或中间器件上,能够使组件之间的距离更近,提高带宽和功率效率,并减少电耦合损耗。


据消息人士所说,SiPH应用市场将至少需要2-3年的时间才能起步,但台积电凭借其对COUPE技术的储备,有望在该领域抢占先机,特别是用于数据中心的SiPH网络芯片。微软和谷歌都在关注采用SiPH ASIC作为他们的数据中心芯片。


15. 英特尔晶圆代工计划背后的野心

虽然有些人倾向于认为 x86 在性能和灵活性方面输给了 Arm,这就是他们认为 Arm 是未来的原因,但现实却大不相同。由于软件兼容性,大多数客户端 PC 的所有者更愿意继续使用 x86 CPU。出于同样的原因,本地数据中心也将坚持使用 x86。此外,英特尔表示,即使是那些正在寻找全定制片上系统的客户也更喜欢 x86,这正是英特尔将要提供的。 

 

英特尔 IDM 2.0 战略的关键要素之一是该公司新成立的英特尔代工服务 (IFS) 小组,该小组将为他人制造芯片。该团队可以作为制造客户想要的任何芯片的经典代工厂运营:基于 Arm 的片上系统、基于 RISC-V 的控制器、张量处理单元 (TPU) 或图形处理单元 (GPU),仅举几例几个选项。  


但英特尔自然可以为其 IFS 产品添加独特的调味料:极具竞争力的通用 x86 内核以及极其广泛的经过硅验证的 IP 组合。今年早些时候,英特尔表示正在与 100 多个潜在的 IFS 客户进行讨论,最近透露,其中大约 1/3 对基于 x86 的定制 SoC 感兴趣。


英特尔曾经在很大程度上凭借领先的工艺技术和产品领先于行业,因为它几乎所有的东西都是在内部制造的。由于英特尔比其他半导体公司更早地采用了新的晶圆厂设备,并且比任何代工厂都大,因此它可以要求晶圆厂工具制造商根据其需求定制产品。一方面,英特尔得到了它所需要的东西,另一方面,迫使其他半导体公司调整他们的节点和工具的工艺配方,并基本上遵循英特尔设定的标准。  


台积电完美地执行并每年为其客户推出新的工艺技术,EDA 工具供应商以一套新工具支持这些节点,移动 SoC 供应商每年都推出新产品。这是生态系统本质上变得自我强化的时候。 


此外,既然英特尔为无晶圆厂公司提供制造服务,它必须让其客户能够使用与其流程兼容的熟悉 EDA 工具来构建他们的产品。为此,它需要使其内部流程和流程与制造行业的流程和流程兼容。 


Pat Gelsinger 希望英特尔的所有产品都是最好的,并且要做到这一点,他希望使用最合适的工艺技术来制造它们。但是为了能够将某些东西外包给台积电,其设计必须使用为台积电的生产技术设计的行业标准工具进行开发。 

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
欢迎大家关注求是缘半导体联盟公众号,加入半导体产业的技术、资金、人才、管理、职业发展生活等方面的全球交流平台。
推荐文章
最近访客